Blog

Tin Mới Nhất

Cung cấp các tin tức mới nhất liên quan đến lĩnh vực VLSI và FPT Semiconductor.,JSC

Quy trình thiết kế vi mạch

02-05-2024

Có thể nói, Mạch tích hợp (IC) đang nâng tầm sức mạnh của thời đại kỹ thuật số ngày nay. Từ điện thoại thông minh đến các thiết bị y tế phức tạp, IC có mặt ở khắp mọi nơi, thúc đẩy sự đổi mới và cung cấp năng lượng cho thế giới điện tử của chúng ta. Tuy nhiên, đằng sau mỗi công nghệ mang tính cách mạng là một quy trình thiết kế phức tạp, ở đây còn gọi là quy trình thiết kế vi mạch. Hãy cùng đi sâu tìm hiểu quy trình thiết kế ic trong bài viết dưới đây.

  • Quy trình thiết kế vi mạch là gì?

Quy trình thiết kế vi mạch là một phương pháp có hệ thống bao gồm một loạt các bước đến giai đoạn mà bản thiết kế vi mạch sẵn sàng được chuyển đến nhà máy bán dẫn để sản xuất. Sản phẩm IC đầu ra dự kiến sẽ có chức năng, hiệu suất và hiệu quả như mong muốn.

Yêu cầu càng cao thì quy trình thiết kế vi mạch càng chi tiết và phức tạp. Do đó, mỗi loại IC trên thị trường đều phù hợp với nhiều luồng thiết kế IC. Tuy nhiên, không kỹ sư thiết kế chất bán dẫn nào có thể hoàn thành “bản thiết kế” vi mạch mà không tuân theo quy trình thiết kế vi mạch cơ bản này.

  • Các bước chính trong quy trình thiết kế vi mạch

– Đặc điểm kỹ thuật và thiết kế kiến trúc

Cuộc hành trình bắt đầu với đặc điểm kỹ thuật và quy hoạch kiến trúc toàn diện. Phối hợp với các bên liên quan, các kỹ sư xác định chức năng, mục tiêu hiệu suất, hạn chế về nguồn điện và các thông số quan trọng khác của IC. Giai đoạn này đặt nền móng cho các giai đoạn thiết kế tiếp theo, xác lập tầm nhìn, lộ trình phát triển rõ ràng và lựa chọn công nghệ phù hợp.

Trong giai đoạn này, các kỹ sư thiết kế phải trả lời được những câu hỏi sau:

  • IC được tạo ra để làm gì?
  • IC phải cung cấp những chức năng gì?
  • Tốc độ và mức tiêu thụ điện năng cần thiết là bao nhiêu?
  • Chi phí mục tiêu là gì?

– Thiết kế – Thiết kế logic/mạch

Sau khi đã có các mục tiêu/thông số kỹ thuật, các nhà thiết kế bắt tay vào giai đoạn đầu thiết kế của quy trình thiết kế vi mạch, nơi họ khái niệm hóa mạch điện và logic cần thiết để thực hiện chức năng mong muốn. Điều này liên quan đến việc lựa chọn các thành phần mạch thích hợp (các khối IC có sẵn), xác định các kết nối và tạo ra kiến trúc cấp vĩ mô tổng thể của IC bằng cách sử dụng một công cụ phần mềm thiết kế chuyên dụng gọi là tổng hợp logic. Nếu các khối xây dựng ở cấp độ vĩ mô cần được điều chỉnh để đáp ứng các yêu cầu cao của IC, các phương pháp thiết kế mạch tùy chỉnh sẽ được áp dụng.

Ví dụ về thiết kế logic (Nguồn: All about Circuit)

Tổng hợp logic là một giai đoạn then chốt trong đó mô tả thiết kế cấp cao được dịch thành biểu diễn mức chuyển đăng ký (RTL). Các kỹ thuật trừu tượng hóa thiết kế được sử dụng để tối ưu hóa cấu trúc logic của mạch, giảm thiểu mức tiêu thụ điện năng và nâng cao hiệu suất. Tóm lại, giai đoạn này xác định cách thức triển khai IC.

– Thiết kế vật lý

Ví dụ về thiết kế vật lý

Giai đoạn thiết kế vật lý tập trung vào việc chuyển biểu diễn logic của mạch thành bố cục vật lý phù hợp cho chế tạo. Điều này liên quan đến việc lập kế hoạch sàn, vị trí, định tuyến và tối ưu hóa bố cục IC để đáp ứng các ràng buộc thiết kế nghiêm ngặt như yêu cầu về diện tích, công suất và thời gian, đảm bảo chức năng mong muốn. Các công cụ và phương pháp tiên tiến được sử dụng để đảm bảo sử dụng tối ưu diện tích silicon và đạt được khả năng sản xuất cao vì nó hỗ trợ phân tích diện tích chip, độ trễ, tắc nghẽn, v.v.,

– Xác minh vật lý

Chuyển sang giai đoạn tiếp theo của quy trình thiết kế vi mạch là giai đoạn xác minh, một quá trình lặp đi lặp lại rất quan trọng để đảm bảo tính chính xác và chắc chắn của thiết kế. Các kỹ thuật khác nhau, bao gồm mô phỏng, xác minh chính thức và mô phỏng, được sử dụng để mô hình hóa các tác động vật lý của IC (điện trở, nhiễu xuyên âm, v.v.), phát hiện thiết kế bị lỗi, đảm bảo tuân thủ các yêu cầu đã chỉ định. Ngoài ra, các phương pháp thử nghiệm được sử dụng để xác nhận chức năng của IC trong các điều kiện hoạt động và trường hợp thử nghiệm khác nhau (đi dây, nhiễu xuyên âm tín hiệu, v.v.). Calibre, Tessent, mPowerm,… nằm trong số các hệ thống phần mềm hỗ trợ việc xác minh vật lý.

Nói cách khác, giai đoạn này giúp các nhà thiết kế hình dung cách đặt mạch trên tấm bán dẫn silicon và đo lường cách nó có thể hoạt động dưới áp lực.

– Ký kết (DFM – DFT và chế tạo)

Đây là bước cuối cùng trong quy trình thiết kế vi mạch trước khi thiết kế được gửi đến nhà máy sản xuất. Tất cả các thông số quan trọng sẽ ảnh hưởng đến hiệu suất hoặc khả năng sản xuất của chip đều được xác minh dựa trên kết quả của các công cụ chất lượng “đăng ký vàng”, bao gồm DFM và DFT.

  • Chiến lược Thiết kế cho Sản xuất (DFM) được tích hợp vào quy trình thiết kế để nâng cao khả năng sản xuất và tối đa hóa năng suất.
  • Các kỹ thuật như Thiết kế để có thể kiểm tra (DFT), thiết kế nhận biết in thạch bản và tối ưu hóa bố cục được sử dụng để giảm thiểu các biến thể trong sản xuất, cải thiện năng suất và giảm thiểu các lỗi sau chế tạo.

Trong giai đoạn này, các quy tắc thiết kế cũng như khả năng sản xuất được xác minh đầy đủ. Khi quá trình xác minh hoàn tất, dữ liệu thiết kế cuối cùng sẽ được chuyển đến xưởng đúc bán dẫn để chế tạo. Các hồ sơ thiết kế cùng với các hướng dẫn và yêu cầu cụ thể của từng xưởng đúc sẽ được gửi đến nhà máy bán dẫn. Sau khi thiết kế được hoàn thành, quy trình sản xuất chất bán dẫn bắt đầu, bao gồm xử lý tấm bán dẫn, quang khắc, khắc và đóng gói để biến tấm bán dẫn silicon thành IC chức năng.

  • Common Types of IC Design

Although the above key steps in the IC Design Flow is the basis for designing any semiconductor chip, depending on IC types, the IC design flow will be distinct. Let’s have a look into the IC design flow of the 4 most common types of IC design:

  • Digital IC • Analog IC • RF IC • Mixed-signal IC
  • Quy trình thiết kế vi mạch kỹ thuật số

Luồng thiết kế IC kỹ thuật số bao gồm việc chuyển các thông số kỹ thuật và tính năng thành các khối kỹ thuật số, sau đó được chuyển đổi thành các mạch logic. Nhiều hạn chế trong thiết kế vi mạch kỹ thuật số bắt nguồn từ quy trình đúc và những hạn chế về công nghệ.

 

Đặc điểm thiết kế

(Thông số kỹ thuật → Ràng buộc → Phát triển điểm chuẩn kiểm tra)

Thiết kế hệ thống cấp cao

(Phân vùng thiết kế → Mô hình hóa hành vi Entry-Verilog → Xác minh chức năng → Tích hợp & xác minh)

Tổng hợp logic

(Chuyển đổi cấp độ truyền đăng ký (RTL) thành danh sách mạng → Phân vùng thiết kế trong các khối vật lý → Xác định lề thời gian và các ràng buộc → Xác minh danh sách mạng cấp độ RTL/cổng → Phân tích thời gian)

Quy hoạch sàn

(Đặt các khối IC theo thứ tự phân cấp → Quy hoạch nguồn và đồng hồ)

Tổng hợp

(Hạn chế và tối ưu hóa về thời gian → Phân tích thời gian tĩnh → Cập nhật vị trí → Cập nhật quy hoạch nguồn và đồng hồ)

Bố cục cấp khối

(Hoàn thành việc đặt và định tuyến khối)

Bố cục cấp độ IC

(Tích hợp IC của tất cả các khối → Ô đặt → Chuỗi quét/chèn cây đồng hồ → Ô định tuyến →

Kiểm tra/xác minh các quy tắc thiết kế vật lý và điện (DRC) → Bố cục so với sơ đồ (LVS) → Trích xuất ký sinh → Xác minh thời gian sau bố cục → Tạo GDSII → Băng ra)

 

  • Quy trình thiết kế vi mạch tương tự

Mạch tích hợp tương tự – Quy trình thiết kế vi mạch liên quan đến việc tạo ra các mạch điện tử xử lý các tín hiệu liên tục, chẳng hạn như điện áp và dòng điện, trái ngược với tín hiệu số. Các mạch này được thiết kế để thực hiện nhiều chức năng được cá nhân hóa hơn như khuếch đại, lọc, điều chế và xử lý tín hiệu so với IC kỹ thuật số.

 

Đặc điểm thiết kế

(Thông số kỹ thuật → Ràng buộc → Cấu trúc liên kết → Phát triển điểm chuẩn kiểm tra)

Sơ đồ xác định dòng chảy

(Mục nhập sơ đồ cấp hệ thống → Kiến trúc kích thích HDL → Đặc tả khối HDL → Mục nhập sơ đồ cấp độ mạch → Mạch kích thích và tối ưu hóa)

Dòng chảy vật lý

(Mục nhập bố cục dựa trên PCell → Kiểm tra/xác minh quy tắc thiết kế (DRC) → Bố cục so với sơ đồ (LVS) → Trích xuất ký sinh → Mô phỏng sau bố cục → Băng ra)

  • Quy trình thiết kế vi mạch RF

Thiết kế RF IC (Mạch tích hợp tần số vô tuyến) là một lĩnh vực chuyên biệt trong thiết kế mạch tích hợp (IC), tập trung vào việc tạo ra các mạch điện tử chuyên dụng được thiết kế riêng để hoạt động ở tần số vô tuyến. Các mạch này được sử dụng trong các hệ thống liên lạc không dây khác nhau, chẳng hạn như điện thoại di động, Wi-Fi, Bluetooth, hệ thống radar và liên lạc vệ tinh. Điều này bao gồm các thành phần như bộ khuếch đại, bộ trộn, bộ dao động, bộ lọc và bộ điều biến/giải điều chế, cùng nhiều thành phần khác.

 

Được coi là một nhánh thích hợp của IC tương tự, IC RF thực hiện nhiều chức năng được cá nhân hóa hơn để sử dụng trong các sản phẩm cuối cùng. Do đó, quy trình thiết kế IC RF thường là một quá trình lặp đi lặp lại bao gồm việc sử dụng rộng rãi mô phỏng EM, mô hình ký sinh và mô hình gói.

 

Đặc điểm thiết kế

(Thông số kỹ thuật → Ràng buộc → Cấu trúc liên kết → Phát triển điểm chuẩn kiểm tra)

Thiết kế hệ thống

(Thiết kế thiết kế hệ thống cấp cao và lập kế hoạch ngân sách → Mô hình hóa hành vi)

Tổng hợp mạch

(HDL SIM cấp độ hỗn hợp → Phân vùng cấp độ hỗn hợp)

Thiết kế mạch

(Bộ thiết kế xưởng đúc thực hiện → Tổng hợp/mô hình hóa cuộn cảm xoắn ốc → Thiết kế chi tiết mạch điện)

Mô phỏng mạch

(Miền thời gian và tần số)

Thiết kế vật lí

(Bố cục → Kích thích và trích xuất nam châm điện → Trích xuất ký sinh → Trích xuất phần thụ động trên chip → Trích xuất phần ký sinh gói → Kiểm tra/xác minh quy tắc thiết kế (DRC) → Bố cục so với sơ đồ (LVS)

Xác minh hệ thống (theo băng ghế thử nghiệm)
Phê duyệt

  • Luồng thiết kế IC tín hiệu hỗn hợp

Mạch tích hợp tín hiệu hỗn hợp (IC) là một loại mạch tích hợp kết hợp cả mạch analog và kỹ thuật số trên cùng một chip. Nó tích hợp các chức năng tương tự, xử lý các tín hiệu liên tục và các chức năng kỹ thuật số, xử lý các tín hiệu rời rạc được biểu diễn dưới dạng số nhị phân. IC tín hiệu hỗn hợp được sử dụng rộng rãi trong nhiều ứng dụng khác nhau, bao gồm bộ chuyển đổi dữ liệu (chẳng hạn như bộ chuyển đổi tương tự sang số và bộ chuyển đổi kỹ thuật số sang tương tự), giao diện cảm biến, quản lý nguồn, hệ thống truyền thông và xử lý âm thanh, v.v.

 

Thuật ngữ “tín hiệu hỗn hợp” phản ánh sự kết hợp giữa các thành phần tương tự và kỹ thuật số trong cùng một IC:

  • Các thành phần tương tự xử lý các tín hiệu liên tục, có thể biểu thị các đại lượng vật lý như điện áp, dòng điện, nhiệt độ hoặc áp suất.
  • Các thành phần kỹ thuật số xử lý các tín hiệu rời rạc được biểu diễn dưới dạng giá trị nhị phân (0 và 1).

Khi IoT mới, giao tiếp không dây (ví dụ: Wi-Fi, mạng di động 5G, LoRa, v.v.) và công nghệ cảm biến đang dẫn đầu các IC tín hiệu hỗn hợp của xã hội hiện đại ngày càng trở nên phức tạp hơn, tiến bộ để đáp ứng các yêu cầu cấp cao.

 

Đặc tả thiết kế tên miền

(Analog/RF: Chụp sơ đồ → Mô phỏng tương tự

Kỹ thuật số: Mục thiết kế → Mô phỏng hành vi)

Phân tích tín hiệu hỗn hợp
Thiết kế vật lí

(Analog/RF: Bố cục vật lý → Xác minh vật lý → Mô phỏng bố cục bài

Kỹ thuật số: Tổng hợp → Địa điểm và lộ trình → Xác minh chức năng)

Lắp ráp chip & xác minh vật lý
Xác minh chức năng tín hiệu hỗn hợp
Phê duyệt

Tóm lại, hiểu được quy trình thiết kế Mạch tích hợp (IC) là nền tảng trong việc chế tạo các vi mạch định hình thế giới hiện đại của chúng ta. Từ việc bắt đầu các thông số kỹ thuật cho đến các quy trình xác minh vật lý tỉ mỉ, mỗi bước trong quy trình thiết kế vi mạch đều rất quan trọng trong việc đảm bảo chức năng, hiệu suất và hiệu quả của vi mạch. Cho dù đó là thiết kế IC kỹ thuật số, analog, RF hay tín hiệu hỗn hợp, các phương pháp hệ thống của quy trình thiết kế IC đóng vai trò là cơ sở cốt lõi để tạo ra các bản thiết kế chip hiệu quả, có thể sản xuất được.

>> Đọc thêm Tin tức bán dẫn TẠI ĐÂY